Skylake (microarchitecture)

(Redirected from Skylake-SP)

Skylake[6][7] is Intel's codename for its sixth generation Core microprocessor family that was launched on August 5, 2015,[8] succeeding the Broadwell microarchitecture.[9] Skylake is a microarchitecture redesign using the same 14 nm manufacturing process technology[10] as its predecessor, serving as a tock in Intel's tick–tock manufacturing and design model. According to Intel, the redesign brings greater CPU and GPU performance and reduced power consumption. Skylake CPUs share their microarchitecture with Kaby Lake, Coffee Lake, Whiskey Lake, and Comet Lake CPUs.

Skylake
Intel Core i7-6700K with four physical cores
General information
LaunchedAugust 5, 2015; 8 years ago (August 5, 2015)
DiscontinuedMarch 4, 2019; 5 years ago (March 4, 2019) (desktop processors)
Marketed byIntel
Designed byIntel
Common manufacturer
CPUID code0406e3h, 0506e3h
Product code
  • 80662 (mainstream and mobile Xeon E3)
  • 80673 (enthusiast and server)
Performance
Max. CPU clock rateUp to 5.0 GHz
Cache
L1 cache64 KB per core (32 KB instructions + 32 KB data)
L2 cache256 KB per core
(1 MB per core for Skylake-X, SP, and W)
L3 cacheUp to 38.5 MB shared
L4 cache128 MB of eDRAM (on Iris Pro models)
Architecture and classification
Technology node14 nm bulk silicon 3D transistors (Tri-Gate)
MicroarchitectureSkylake
Instruction setx86-16, IA-32, x86-64
Extensions
Physical specifications
Cores
  • 2–28
Sockets
Products, models, variants
Product code name
  • SKL
Brand name
    • Core i3
    • Core i5
    • Core i7
    • Core i9
    • Core X-Series
    • Core m3
    • Core m5
    • Core m7
    • Xeon
    • Celeron
    • Pentium
History
PredecessorBroadwell (Tick/Process)
Successors
  • Kaby Lake (Optimization, desktop, laptop, low-end server, and mobile workstation)
  • Cascade Lake (HEDT, workstation, and mid- to high-end server)
  • Palm Cove (Process)
Support status
Client: Unsupported as of December 30, 2022 for iGPU
Xeon E3 v5: Unsupported as of December 30, 2022 for iGPU
Other Xeon: supported

Skylake is the last Intel platform on which Windows earlier than Windows 10 are officially supported by Microsoft,[11] although enthusiast-created modifications are available that disabled the Windows Update check and allowed Windows 8.1 and earlier to continue to receive Windows Updates on this and later platforms.[12][13][14]

Some of the processors based on the Skylake microarchitecture are marketed as 6th-generation Core.[15][16][17]

Intel officially declared end of life and discontinued Skylake LGA 1151 CPUs (except Xeon E3 v5) on March 4, 2019.[18]

Development history

Skylake's development, as with previous processors such as Banias, Dothan, Conroe, Sandy Bridge, and Ivy Bridge, was primarily undertaken by Intel Israel at its engineering research center in Haifa, Israel.[19] The final design was largely an evolution of Haswell, with minor improvements to performance and several power-saving features being added.[20] A major priority of Skylake's design was to design a microarchitecture for envelopes as low as 4.5W to embed within tablet computers and notebooks in addition to higher-power desktop computers and servers.[21]

In September 2014, Intel announced the Skylake microarchitecture at the Intel Developer Forum in San Francisco, and that volume shipments of Skylake CPUs were scheduled for the second half of 2015. The Skylake development platform was announced to be available in Q1 2015. During the announcement, Intel also demonstrated two computers with desktop and mobile Skylake prototypes: the first was a desktop testbed system, running the latest version of 3DMark, while the second computer was a fully functional laptop, playing 4K video.[22]

An initial batch of Skylake CPU models (i5-6600K and i7-6700K) was announced for immediate availability during the Gamescom on August 5, 2015,[23] unusually soon after the release of its predecessor, Broadwell, which had suffered from launch delays.[24] Intel acknowledged in 2014 that moving from 22 nm (Haswell) to 14 nm (Broadwell) had been its most difficult process to develop yet, causing Broadwell's planned launch to slip by several months;[25] yet, the 14 nm production was back on track and in full production as of Q3 2014.[26] Industry observers had initially believed that the issues affecting Broadwell would also cause Skylake to slip to 2016, but Intel was able to bring forward Skylake's release and shorten Broadwell's release cycle instead.[27][28] As a result, the Broadwell architecture had an unusually short run.[27]

Overclocking of unsupported processors

Officially Intel supported overclocking of only the K and X versions of Skylake processors. However, it was later discovered that other non-K chips could be overclocked by modifying the base clock value – a process made feasible by the base clock applying only to the CPU, RAM, and integrated graphics on Skylake. Through beta UEFI firmware updates, some motherboard vendors, such as ASRock (which prominently promoted it under the name Sky OC) allowed the base clock to be modified in this manner.[29][30]

When overclocking unsupported processors using these UEFI firmware updates, several issues arise:

  • C-states are disabled, therefore the CPU will constantly run at its highest frequency and voltage
  • Turbo-boost is disabled
  • Integrated graphics are disabled
  • AVX2 instruction performance is poor, approximately 4-5 times slower due to the upper 128-bit half of the execution units and data buses not being taken out of their power saving states
  • CPU core temperature readings are incorrect

These issues are partly caused by the power management of the processor needing to be disabled for base clock overclocking to work.[31]

In February 2016, however, an ASRock firmware update removed the feature. On February 9, 2016, Intel announced that it would no longer allow such overclocking of non-K processors, and that it had issued a CPU microcode update that removes the function.[32][33][34] In April 2016, ASRock started selling motherboards that allow overclocking of unsupported CPUs using an external clock generator.[35][36]

Operating system support

In January 2016, Microsoft announced that it would end support of Windows 7 and Windows 8.1 on Skylake processors effective July 17, 2017; after this date, only the most critical updates for the two operating systems would be released for Skylake users if they have been judged not to affect the reliability of the OS on older hardware (until July 31, 2019; August 2019 critical update requires at least Windows 10), and Windows 10 would be the only Microsoft Windows platform officially supported on Skylake and on later Intel CPU microarchitectures beginning with Skylake's successor Kaby Lake. Terry Myerson stated that Microsoft had to make a large investment in order to reliably support Skylake on older versions of Windows, and that future generations of processors would require further investments. Microsoft also stated that due to the age of the platform, it would be challenging for newer hardware, firmware, and device driver combinations to properly run under Windows 7.[37][38]

On March 18, 2016, in response to criticism over the move, primarily from enterprise customers, Microsoft announced revisions to the support policy, changing the cutoff for support and non-critical updates to July 17, 2018, and stating that Skylake users would receive all critical security updates for Windows 7 and 8.1 through the end of extended support.[39][40] In August 2016, citing "a strong partnership with our OEM partners and Intel", Microsoft stated that it would continue to fully support 7 and 8.1 on Skylake through the end of their respective lifecycles.[41][42] In addition, an enthusiast-created modification was released that disabled the Windows Update check and allowed Windows 8.1 and earlier to continue to be updated on this and later platforms.[43]

As of Linux kernel 4.10, Skylake mobile power management is supported with most Package C states supported seeing some use. Linux 4.11 enables Frame-Buffer Compression for the integrated graphics chipset by default, which lowers power consumption.[44]

Skylake is fully supported on OpenBSD 6.2 and later, including accelerated graphics.[45]

For Windows 11, only the high-end Skylake-X processors are officially listed as compatible.[46] All other Skylake processors are not officially supported due to security concerns.[47] However, it is still possible to manually upgrade using an ISO image (as Windows 10 users on those processors won't be offered to upgrade to Windows 11 via Windows Update), or perform a clean installation as long as the system has Trusted Platform Module (TPM) 2.0 enabled,[48] but the user must accept that they will not be entitled to receive updates, and that damage caused by using Windows 11 on an unsupported configuration are not covered by the manufacturer's warranty.[49][50]

Features

Skylake i7-6700K
Skylake i7-6700K: bottom view

Like its predecessor, Broadwell, Skylake is available in five variants, identified by the suffixes S (SKL-S), X (SKL-X), H (SKL-H), U (SKL-U), and Y (SKL-Y). SKL-S and SKL-X contain overclockable K and X variants with unlocked multipliers.[51] The H, U and Y variants are manufactured in ball grid array (BGA) packaging, while the S and X variants are manufactured in land grid array (LGA) packaging using a new socket, LGA 1151 (LGA 2066 for Skylake X).[52] Skylake is used in conjunction with Intel 100 Series chipsets, also known as Sunrise Point.[53]

The major changes between the Haswell and Skylake architectures include the removal of the fully integrated voltage regulator (FIVR) introduced with Haswell.[54] On the variants that will use a discrete Platform Controller Hub (PCH), Direct Media Interface (DMI) 2.0 is replaced by DMI 3.0, which allows speeds of up to 8 GT/s.

Skylake's U and Y variants support one DIMM slot per channel, while H and S variants support two DIMM slots per channel.[52] Skylake's launch and sales lifespan occur at the same time as the ongoing SDRAM market transition, with DDR3 SDRAM memory gradually being replaced by DDR4 SDRAM. Rather than working exclusively with DDR4, the Skylake microarchitecture remains backward compatible by interoperating with both types of memory. Accompanying the microarchitecture's support for both memory standards, a new SO-DIMM type capable of carrying either DDR3 or DDR4 memory chips, called UniDIMM, was also announced.[55]

Skylake's few P variants have a reduced on-die graphics unit (12 execution units enabled instead of 24 execution units) over their direct counterparts; see the table below. In contrast, with Ivy Bridge CPUs the P suffix was used for CPUs with completely disabled on-die video chipset.

Other enhancements include Thunderbolt 3.0, Serial ATA Express, Iris Pro graphics with Direct3D feature level 12_1 with up to 128 MB of L4 eDRAM cache on certain SKUs.[56] The Skylake line of processors retires VGA support,[57] while supporting up to three monitors connected via HDMI 1.4, DisplayPort 1.2 or Embedded DisplayPort (eDP) interfaces.[58] HDMI 2.0 (4K@60 Hz) is only supported on motherboards equipped with Intel's Alpine Ridge Thunderbolt controller.[59]

The Skylake instruction set changes include Intel MPX (Memory Protection Extensions) and Intel SGX (Software Guard Extensions). Future Xeon variants will also have Advanced Vector Extensions 3.2 (AVX-512F).[3][4]

Skylake-based laptops were predicted to use wireless technology called Rezence for charging, and other wireless technologies for communication with peripherals. Many major PC vendors agreed to use this technology in Skylake-based laptops; however, no laptops were released with the technology as of 2019.[60][61]

The integrated GPU of Skylake's S variant supports on Windows DirectX 12 Feature Level 12_1, OpenGL 4.6 with latest Windows 10 driver update[62] (OpenGL 4.5 on Linux[63]) and OpenCL 3.0 standards. The Quick Sync video engine now includes support for VP9 (GPU accelerated decode only), VP8 and HEVC (hardware accelerated 8-bit encode/decode and GPU accelerated 10-bit decode), and supports for resolutions up to 4096 × 2048.[64][65][66]

Intel also released unlocked (capable of overclocking) mobile Skylake CPUs.[67]

Unlike previous generations, Skylake-based Xeon E3 no longer works with a desktop chipset that supports the same socket, and requires either the C232 or the C236 chipset to operate.

Known issues

Short loops with a specific combination of instruction use may cause unpredictable system behavior on CPUs with hyperthreading. A microcode update was issued to fix the issue.[68]

Skylake is vulnerable to Spectre attacks.[69]In fact, it is more vulnerable than other processors because it uses indirect branch speculation not just on indirect branches but also when the return prediction stack underflows.

The latency for the spinlock PAUSE instruction has been increased dramatically (from the usual 10 cycles to 141 cycles in Skylake), which can cause performance issues with older programs or libraries using pause instructions.[70] Intel documents the increased latency as a feature that improves power efficiency.[71]

Architecture changes compared to Broadwell microarchitecture

CPU

  • Improved front-end, deeper out-of-order buffers, improved execution units, more execution units (third vector integer ALU(VALU)) for five ALUs in total, more load/store bandwidth, improved hyper-threading (wider retirement), speedup of AES-GCM and AES-CBC by 17% and 33% accordingly.[72][73]
  • Up to four cores as the default mainstream configuration[74] and up to 18 cores for X-series
  • AVX-512: F, CD, VL, BW, and DQ for Xeon Scalable and W variants, but not Xeon E3[3]
  • Intel Memory Protection Extensions (MPX)
  • Intel Software Guard Extensions (SGX)
  • Intel Speed Shift[75]
  • Larger re-order buffer (224 entries, up from 192)
  • L1 cache size unchanged at 32 KB instruction and 32 KB data cache per core.
  • L2 cache was changed from 8-way to 4-way set associative[76]
  • Voltage regulator module (FIVR) is moved back to the motherboard
  • Enhancements of Intel Processor Trace: fine grained timing through CYC packets (cycle-accurate mode) and support for Instruction Pointer (IP) address filtering.[77]
  • 64 to 128 MB L4 eDRAM cache on certain SKUs

GPU

  • Skylake's integrated Gen9 GPU supports Direct3D 12 at the feature level 12_1[6][78][79]
  • Full fixed function HEVC Main/8bit encoding/decoding acceleration. Hybrid/Partial HEVC Main10/10bit decoding acceleration. JPEG encoding acceleration for resolutions up to 16,000×16,000 pixels. Partial VP9 encoding/decoding acceleration.[80]

I/O

Other

Configurations

Skylake processors are produced in seven main families: Y, U, H, S, X, W, and SP. Multiple configurations are available within each family:[52]

FeatureFamily
 Y  U  H  T  S  R  X  W  SP 
Max cores241828
Integrated L4 cache (eDRAM)
Low-power mobile/embedded systems
SocketBGALGA 1151LGA 2066LGA 3647
LPDDR3 SDRAM
DDR3L SDRAM
DDR4 SDRAM
128 GB to 1.5 TB of physical RAM•+
28 to 44 PCIe 3.0 lanes

List of Skylake processor models

Mainstream desktop processors

Core i7-6700 die shot

Common features of the mainstream desktop Skylake CPUs:

  • DMI 3.0 and PCIe 3.0 interfaces
  • Dual channel memory support in the following configurations: DDR3L-1600 1.35 V (32 GB maximum) or DDR4-2133 1.2 V (64 GB maximum). DDR3 is unofficially supported through some motherboard vendors[89][90][91]
  • 16 PCIe 3.0 lanes
  • The Core-branded processors support the AVX2 instruction set. The Celeron and Pentium-branded ones support only SSE4.1/4.2
  • 350 MHz base graphics clock rate
Processor
branding
and model
Cores
(threads)
Clock rate (GHz)GPUCacheTDPSocketRelease
date
Release
price
(USD)
BaseTurbo Boost 2.0ModelEUsMax
freq.
(GHz)
L2L3L4
(eDRAM)
12 [citation needed]4 [citation needed]
Core i76700K4 (8)4.04.24.04.0HD 530241.15[92]1 MB8 MB91 WLGA 1151August 5, 2015$339
6785R3.33.93.83.5Iris Pro 58072128 MB65 WBGA 1440May 3, 2016$370
67003.44.03.93.7HD 53024LGA 1151September 1, 2015$303
6700T2.83.63.53.435 W$303
Core i56600K4 (4)3.53.93.83.66 MB91 WAugust 5, 2015$242
6685R3.23.83.73.3Iris Pro 58072128 MB65 WBGA 1440May 3, 2016$288
66003.33.93.83.6HD 53024LGA 1151September 1, 2015$213
6585R2.83.63.53.1Iris Pro 580721.1128 MBBGA 1440May 3, 2016$255
65003.23.3HD 530241.05LGA 1151September 1, 2015$192
6600T2.73.53.43.31.135 WQ3 2015$213
6500T2.53.13.02.8$192
6402P2.83.43.43.2HD 510120.9565 WDecember 27, 2015$182
6400T2.22.82.72.5HD 5302435 WQ3 2015
64002.73.33.33.165 WAugust 5, 2015
Core i363202 (4)3.91.15512 KB4 MB51 WQ3 2015$149
63003.8$138
61003.71.053 MBOctober 2015$117
6300T3.30.954 MB35 W$138
6100T3.23 MB$117
6098P3.6HD 510121.05054 WDecember 27, 2015
PentiumG45202 (2)3.6HD 5302451 WOctober 2015$86
G45003.5$75
G4500T3.00.9535 WQ3 2015
G44003.3HD 510121.054 WOctober 2015$64
G4400T2.90.9535 WQ3 2015
G4400TE2.4Q4 2015$70
CeleronG39202.92 MB51 W$52
G39002.8$42
G3900TE2.335 W
G3900T2.6

High-end desktop processors (Skylake-X)

Common features of the high performance Skylake-X CPUs:

  • In addition to the AVX2 instruction set, they also support the AVX-512 instructions
  • No built-in iGPU (integrated graphics processor)
  • Turbo Boost Max Technology 3.0 for up to 2/4 threads workloads for CPUs that have 8 cores and more (7820X, 7900X, 7920X, 7940X, 7960X, 7980XE, and all 9th generation chips)[93]
  • A different cache hierarchy (when compared to client Skylake CPUs or previous architectures)
Core i7-7820X die shot
7th generation Skylake-X high-end desktop CPUs
Processor
branding
and model
Cores
(threads)
Clock rate (GHz)CachePCIe
lanes
Memory
support
SocketTDPRelease
date
Release
price
(USD)
BaseTurboL2L3
2.03.0
Core i9 [94]7980XE18 (36)2.64.24.418 MB24.75 MB44
PCIe 3.0
DDR4-2666
quad-channel
LGA 2066165 WSep 25, 2017[95]$1999
7960X16 (32)2.816 MB22 MB$1699
7940X14 (28)3.14.314 MB19.25 MB$1399
7920X12 (24)2.912 MB16.5 MB140 WAug 28, 2017$1189
7900X10 (20)3.34.510 MB13.75 MBJun 19, 2017$999
Core i77820X8 (16)3.68 MB11 MB28
PCIe 3.0
$599
7800X6 (12)3.54.06 MB8.25 MBDDR4-2400
quad-channel
$389
9th generation Skylake-X high-end desktop CPUs
Processor
branding and model
Cores
(threads)
Clock rate (GHz)CachePCIe
lanes
Memory
support
SocketTDPRelease
date
Release
price
(USD)
BaseTurbo BoostL2L3
2.03.0
Core i9 [96]9990XE[97]14 (28)4.05.05.014 MB19.25 MB44
PCIe 3.0
DDR4-2666
quad-channel
LGA 2066255 WJan 3, 2019OEM
9980XE18 (36)3.04.44.518 MB24.75 MB165 WOct 9, 2018[98]$1979
9960X16 (32)3.116 MB22 MB$1684
9940X14 (28)3.314 MB19.25 MB$1387
9920X12 (24)3.512 MB$1189
9900X10 (20)3.510 MB$989
9820X3.34.14.216.5 MB$889
Core i79800X8 (16)3.84.44.58 MB$589

Xeon High-end desktop processors (Skylake-X)

  • Marketed as a Xeon
  • Uses the C621 chipset
  • Xeon W-3175X was the only Xeon with a multiplier officially unlocked for overclocking until the introduction of Sapphire Rapids-WS Xeon CPUs in 2023.[99]
ModelsSpec
number
Cores
(threads)
Clock rateTurbo Boost
all-core/2.0
(/max. 3.0)
L2
cache
L3
cache
TDPSocketI/O busMemoryRelease datePart
number(s)
Release
price (USD)
Xeon W-3175X
  • SRF6L (H0)
28 (56)3.1 GHz3.8/4.3 GHz28 × 1 MB38.50 MB
255 W
LGA 3647DMI 3.06 × DDR4-2666January 30, 2019
  • CD8067304237800
  • BX80673W3175X
$2999

Mobile processors

For mobile workstation processors, see Server processors

Processor
branding and
model
Cores
(threads)
CPU
clock
rate
CPU Turbo clock rateGPUGPU clock rateCacheMax.
PCIe
lanes
TDPcTDPRelease datePrice
(USD)
Single
core
Dual
core [citation needed]
Quad
core [citation needed]
BaseTurboL3L4
(eDRAM)
UpDown
Core i76970HQ4 (8)2.8 GHz3.7 GHz?Iris Pro 580350 MHz1050 MHz8 MB128 MB1645 W35 WQ1 2016$623
6920HQ2.9 GHz3.8 GHz3.6 GHz3.4 GHzHD 530September 1, 2015$568
6870HQ2.7 GHz3.6 GHz?Iris Pro 5801000 MHz128 MBQ1 2016$434
6820HQ3.4 GHz3.2 GHzHD 5301050 MHzSeptember 1, 2015$378
6820HK
6770HQ2.6 GHz3.5 GHz?Iris Pro 580950 MHz6 MB128 MBQ1 2016$434
6700HQ3.3 GHz3.1 GHzHD 5301050 MHzSeptember 1, 2015$378
6660U2 (4)2.4 GHz3.4 GHz3.2 GHzIris 540300 MHz4 MB64 MB1215 W9.5 WQ1 2016$415
6650U2.2 GHzQ3 2015
6600U2.6 GHzHD 52025 W7.5 WSeptember 1, 2015$393
6567U3.3 GHz3.6 GHz3.4 GHzIris 5501100 MHz64 MB28 W23 WQ3 2015TBD
6560U2.2 GHz3.2 GHz3.1 GHzIris 5401050 MHz15 W9.5 W
6500U2.5 GHz3.1 GHz3.0 GHzHD 5207.5 WSeptember 1, 2015$393
Core i56440HQ4 (4)2.6 GHz3.5 GHz3.3 GHz3.1 GHzHD 530350 MHz950 MHz6 MB1645 W35 W$250
6360U2 (4)2.0 GHz3.1 GHz2.9 GHzIris 540300 MHz1000 MHz4 MB64 MB1215 W9.5 WQ3 2015$304
6350HQ4 (4)2.3 GHz3.2 GHz?Iris Pro 580350 MHz900 MHz6 MB128 MB1645 W35 WQ1 2016$306
6300HQ3.0 GHz2.8 GHzHD 530950 MHzSeptember 1, 2015$250
6300U2 (4)2.4 GHz3.0 GHz2.9 GHzHD 520300 MHz1000 MHz3 MB1215 W7.5 W$281
6287U3.1 GHz3.5 GHz3.3 GHzIris 5501100 MHz4 MB64 MB28 W23 WQ3 2015$304
6267U2.9 GHz3.3 GHz3.1 GHz1050 MHz23 W
6260U1.8 GHz2.9 GHz2.7 GHzIris 540950 MHz15 W9.5 W$304
6200U2.3 GHz2.8 GHzHD 5201000 MHz3 MB7.5 WSeptember 1, 2015$281
Core i36167U2.7 GHzIris 55064 MB28 W23 WQ3 2015$304
6157U2.4 GHzQ3 2016
6100H2.7 GHzHD 530350 MHz900 MHz35 WSeptember 1, 2015$225
6100U2.3 GHzHD 520300 MHz1000 MHz15 W7.5 W$281
6006U2.0 GHz900 MHzNovember, 2016$281
Core m76Y751.2 GHz3.1 GHz2.9 GHzHD 515300 MHz1000 MHz4 MB104.5 W7 W3.5 WSeptember 1, 2015$393
Core m56Y571.1 GHz2.8 GHz2.4 GHz900 MHz$281
6Y542.7 GHz
Core m36Y300.9 GHz2.2 GHz2.0 GHz850 MHz3.8 W
Pentium4405U2.1 GHzHD 510950 MHz2 MB15 W10 WQ3 2015$161
4405Y1.5 GHzHD 515800 MHz6 W4.5 W
CeleronG3902E2 (2)1.6 GHzHD 510350 MHz950 MHz1625 WQ1 2016$107
G3900E2.4 GHz35 W
3955U2.0 GHz300 MHz900 MHz1015 W10 WQ4 2015
3855U1.6 GHz

Workstation processors

ModelsSpec
number
Cores
(threads)
Clock rateTurbo Boost
all-core/2.0
(/max. 3.0)
L2
cache
L3
cache
TDPSocketI/O busMemoryRelease datePart
number(s)
Release
price (USD)
Xeon W-2195
  • SR3RX (M0)
18 (36)2.3 GHz3.2/4.3 GHz18 × 1 MB24.75 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303805901
$2553
Xeon W-2191B
  • SR3RW (H0)
18 (36)2.3 GHz3.2/4.3 GHz18 × 1 MB24.75 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666December 21, 2017OEM for Apple[100][101]
Xeon W-2175
  • SR3W2 (M0)
14 (28)2.5 GHz3.3/4.3 GHz14 × 1 MB19.25 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666October 15, 2017
  • CD8067303842300
$1947
Xeon W-2170B
  • SR3W3 (H0)
14 (28)2.5 GHz3.3/4.3 GHz14 × 1 MB19.25 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666December 21, 2017OEM for Apple[100][101]
Xeon W-2155
  • SR3LR (U0)
10 (20)3.3 GHz4.0/4.5 GHz10 × 1 MB13.75 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303533703
$1440
Xeon W-2150B
  • SR3LS (H0)
10 (20)3 GHz4.0/4.5 GHz10 × 1 MB13.75 MB
120 W
LGA 2066DMI 3.04 × DDR4-2666December 21, 2017OEM for Apple[100][101]
Xeon W-2145
  • SR3LQ (U0)
8 (16)3.7 GHz4.3/4.5 GHz8 × 1 MB11.00 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303533601
$1113
Xeon W-2140B
  • SR3LK (H0)
8 (16)3.2 GHz3.9/4.2 GHz8 × 1 MB11.00 MB
120 W
LGA 2066DMI 3.04 × DDR4-2666December 21, 2017OEM for Apple[100][101]
Xeon W-2135
  • SR3LN (U0)
6 (12)3.7 GHz4.4/4.5 GHz6 × 1 MB8.25 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303533403
$835
Xeon W-2133
  • SR3LL (U0)
6 (12)3.6 GHz3.8/3.9 GHz6 × 1 MB8.25 MB
140 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303533204
$617
Xeon W-2125
  • SR3LM (U0)
4 (8)4 GHz4.4/4.5 GHz4 × 1 MB8.25 MB
120 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303533303
$444
Xeon W-2123
  • SR3LJ (U0)
4 (8)3.6 GHz3.7/3.9 GHz4 × 1 MB8.25 MB
120 W
LGA 2066DMI 3.04 × DDR4-2666August 29, 2017
  • CD8067303533002
$294
Xeon W-2104
  • SR3LH (U0)
4 (4)3.2 GHzN/A4 × 1 MB8.25 MB
120 W
LGA 2066DMI 3.04 × DDR4-2400August 29, 2017
  • CD8067303532903
$255
Xeon W-2102
  • SR3LG (U0)
4 (4)2.9 GHzN/A4 × 1 MB8.25 MB
120 W
LGA 2066DMI 3.04 × DDR4-2400August 29, 2017
  • CD8067303532802
$202

Server processors

E3 series server chips all consist of System Bus 9 GT/s, max. memory bandwidth of 34.1 GB/s dual channel memory. Unlike its predecessor, the Skylake Xeon CPUs require C230 series (C232/C236) or C240 series (C242/C246) chipset to operate, with integrated graphics working only with C236 and C246 chipsets. Mobile counterparts uses CM230 and CM240 series chipsets.

Skylake E3-12xx and E3 15xx v5 SKUs
Target
segment
Cores
(threads)
Processor
branding and model
GPUClock rateCacheTDPRelease
date
Release
price (USD)
tray / box
Motherboard
CPUGraphicsL3L4 (eDRAM)SocketInterfaceMemory
NormalTurboNormalTurbo
Server4 (8)Xeon E3 v51280v53.7 GHz4.0 GHz8 MB80 WQ4 2015$612 / —LGA
1151
DMI 3.0
PCIe 3.0

DDR4
2133/1866
or
DDR3L
1333/1600
with ECC
1275v5HD P5303.6 GHz350 MHz1.15 GHz$339 / —
1270v53.6 GHz$328 / $339
1260Lv52.9 GHz3.9 GHz45 W$294 / —
1245v5HD P5303.5 GHz350 MHz1.15 GHz80 W$284 / —
1240v53.5 GHz$272 / $282
1240Lv52.1 GHz3.2 GHz25 W$278 / —
1230v53.4 GHz3.8 GHz80 W$250 / $260
4 (4)1235Lv5HD P5302.0 GHz3.0 GHz350 MHz1.15 GHz25 W$250 / —
1225v53.3 GHz3.7 GHz80 W$213 / —
1220v53.0 GHz3.5 GHz$193 / —
Mobile
workstation
4 (8)1575Mv5Iris Pro P5803.0 GHz3.9 GHz350 MHz1.1 GHz128 MB45 WQ1 2016$1207 / —BGA
1440

DDR4-2133
LPDDR3-1866
DDR3L-1600
with ECC
1545Mv52.9 GHz3.8 GHz1.05 GHz$679 / —
1535Mv5HD P530Q3 2015$623 / —
1505Mv52.8 GHz3.7 GHz$434 / —
Embedded1505Lv52.0 GHz2.8 GHz1.0 GHz25 WQ4 2015$433 / —

Skylake-SP (14 nm) Scalable Performance

  • Xeon Platinum supports up to 8 sockets. Xeon Gold supports up to 4 sockets. Xeon Silver and Bronze support up to 2 sockets.
    • −M: 1536 GB RAM per socket instead of 768 GB RAM for non−M SKUs
    • −F: integrated OmniPath fabric
    • −T: High thermal-case and extended reliability
  • Support for up to 12 DIMMs of DDR4 memory per CPU socket.
  • Xeon Platinum, Gold 61XX, and Gold 5122 have two AVX-512 FMA units per core. Xeon Gold 51XX (except 5122), Silver, and Bronze have a single AVX-512 FMA unit per core.

Xeon Bronze and Silver (dual processor)

  • Xeon Bronze 31XX has no HT or Turbo Boost support.
  • Xeon Bronze 31XX supports DDR4-2133 MHz RAM. Xeon Silver 41XX supports DDR4-2400 MHz RAM.
  • Xeon Bronze 31XX and Xeon Silver 41XX support two UPI links at 9.6 GT/s.
ModelsSpec
number
Cores
(threads)
Clock rateTurbo Boost
all-core/2.0
(/max. 3.0)
L2
cache
L3
cache
TDPSocketI/O busMemoryRelease datePart
number(s)
Release
price (USD)
Xeon Silver 4116
  • SR3HQ (M0)
12 (24)2.1 GHz2.4/3.0 GHz12 × 1 MB16.50 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303567200
  • BX806734116
$1002
$1012
Xeon Silver 4116T
  • SR3MQ (M0)
12 (24)2.1 GHz2.4/3.0 GHz12 × 1 MB16.50 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-2400Q3 2017
  • CD8067303645400
$1112
Xeon Silver 4114
  • SR3GK (U0)
10 (20)2.2 GHz2.5/3.0 GHz10 × 1 MB13.75 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303561800
  • BX806734114
$694
$704
Xeon Silver 4114T
  • SR3MM (U0)
10 (20)2.2 GHz2.5/3.0 GHz10 × 1 MB13.75 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-2400Q3 2017
  • CD8067303645300
$773
Xeon Silver 4112
  • SR3GN (U0)
4 (8)2.6 GHz2.9/3.0 GHz4 × 1 MB8.25 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303562100
  • BX806734112
$473
$483
Xeon Silver 4110
  • SR3GH (U0)
8 (16)2.1 GHz2.4/3.0 GHz8 × 1 MB11.00 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303561400
  • BX806734110
$501
$511
Xeon Silver 4109T
  • SR3GP (U0)
8 (16)2 GHz2.3/3.0 GHz8 × 1 MB11.00 MB
70 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303562200
$501
Xeon Silver 4108
  • SR3GJ (U0)
8 (16)1.8 GHz2.1/3.0 GHz8 × 1 MB11.00 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303561500
  • BX806734108
$417
$427
Xeon Bronze 3106
  • SR3GL (U0)
8 (8)1.7 GHzN/A8 × 1 MB11.00 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-213311 July 2017
  • CD8067303561900
  • BX806733106
$306
$316
Xeon Bronze 3104
  • SR3GM (U0)
6 (6)1.7 GHzN/A6 × 1 MB8.25 MB
85 W
LGA 36472 × 9.6 GT/s UPI6 × DDR4-213311 July 2017
  • CD8067303562000
  • BX806733104
$223
$213

Xeon Gold (quad processor)

  • Xeon Gold 51XX and F SKUs has two UPIs at 10.4 GT/s. Xeon Gold 61XX has three UPIs at 10.4 GT/s.
  • Xeon Gold 51XX support DDR4-2400 MHz RAM (except 5122). Xeon Gold 5122 and 61XX support DDR4-2666 MHz RAM.
ModelsSpec
number
Cores
(threads)
Clock rateTurbo Boost
all-core/2.0
(/max. 3.0)
L2
cache
L3
cache
TDPSocketI/O busMemoryRelease datePart
number(s)
Release
price (USD)
Xeon Gold 6161
  • SR3G7 (H0)
22 (44)2.2 GHz2.7/3.0GHz22 × 1 MB30.25 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-26662017
  • CD8067303532100
Xeon Gold 6154
  • SR3J5 (H0)
18 (36)3 GHz3.7/3.7GHz18 × 1 MB24.75 MB
200 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303592700
$3543
Xeon Gold 6152
  • SR3B4 (H0)
22 (44)2.1 GHz2.8/3.7GHz22 × 1 MB30.25 MB
140 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303406000
  • BX806736152
$3655
$3661
Xeon Gold 6150
  • SR37K (H0)
18 (36)2.7 GHz3.4/3.7GHz18 × 1 MB24.75 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303328000
$3358
Xeon Gold 614916 (32)3.1 GHz16 × 1 MBMBLGA 36473 × 10.4 GT/s UPI6 × DDR4-2666OEM
Xeon Gold 6148
  • SR3B6 (H0)
20 (40)2.4 GHz3.1/3.7GHz20 × 1 MB27.50 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303406200
  • BX806736148
$3072
$3078
Xeon Gold 6148F
  • SR3KJ (H0)
20 (40)2.4 GHz3.1/3.7GHz20 × 1 MB27.50 MB
150 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593800
$3227
Xeon Gold 6146
  • SR3MA (H0)
12 (24)3.2 GHz3.9/4.2GHz12 × 1 MB24.75 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303657201
$3286
Xeon Gold 6145
  • SR3G4 (H0)
20 (40)2 GHz2.7/3.7GHz20 × 1 MB27.50 MB
145 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-26662017
  • CD8067303528200
Xeon Gold 6144
  • SR3MB (H0)
8 (16)3.5 GHz4.1/4.2GHz8 × 1 MB24.75 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-2666Q3 2017
  • CD8067303657302
$2925
Xeon Gold 6142M
  • SR3B1 (H0)
16 (32)2.6 GHz3.3/3.7GHz16 × 1 MB22.00 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405700
$5949
Xeon Gold 6142F
  • SR3KH (H0)
16 (32)2.6 GHz3.3/3.7GHz16 × 1 MB22.00 MB
160 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593700
$3101
Xeon Gold 6142
  • SR3AY (H0)
16 (32)2.6 GHz3.3/3.7GHz16 × 1 MB22.00 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405400
  • BX806736142
$2946
$2952
Xeon Gold 6140
  • SR3AX (H0)
18 (36)2.3 GHz3.0/3.7GHz18 × 1 MB24.75 MB
140 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405200
  • BX806736140
$2445
$2451
Xeon Gold 6140M
  • SR3AZ (H0)
18 (36)2.3 GHz3.0/3.7GHz18 × 1 MB24.75 MB
140 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405500
$5448
Xeon Gold 6138
  • SR3B5 (H0)
20 (40)2 GHz2.7/3.7GHz20 × 1 MB27.50 MB
125 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303406100
  • BX806736138
$2612
$2618
Xeon Gold 6138F
  • SR3KK (H0)
20 (40)2 GHz2.7/3.7GHz20 × 1 MB27.50 MB
135 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593900
$2767
Xeon Gold 6138T
  • SR3J7 (H0)
20 (40)2 GHz2.7/3.7GHz20 × 1 MB27.50 MB
125 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303592900
$2742
Xeon Gold 6136
  • SR3B2 (H0)
12 (24)3 GHz3.6/3.7GHz12 × 1 MB24.75 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405800
$2460
Xeon Gold 6134
  • SR3AR (H0)
8 (16)3.2 GHz3.7/3.7GHz8 × 1 MB24.75 MB
130 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303330302
  • BX806736134
$2214
$2220
Xeon Gold 6134M
  • SR3AS (H0)
8 (16)3.2 GHz3.7/3.7GHz8 × 1 MB24.75 MB
130 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303330402
$5217
Xeon Gold 6132
  • SR3J3 (H0)
14 (28)2.6 GHz3.3/3.7GHz14 × 1 MB19.25 MB
140 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303592500
$2111
Xeon Gold 6130
  • SR3B9 (H0)
16 (32)2.1 GHz2.8/3.7GHz16 × 1 MB22.00 MB
125 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303409000
  • BX806736130
$1900
Xeon Gold 6130F
  • SR3KD (H0)
16 (32)2.1 GHz2.8/3.7GHz16 × 1 MB22.00 MB
125 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593300
$2049
Xeon Gold 6130T
  • SR3J8 (H0)
16 (32)2.1 GHz2.8/3.7GHz16 × 1 MB22.00 MB
125 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593000
$1988
Xeon Gold 6128
  • SR3J4 (H0)
6 (12)3.4 GHz3.7/3.7GHz6 × 1 MB19.25 MB
115 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303592600
  • BX806736128
$1691
$1697
Xeon Gold 6126
  • SR3B3 (H0)
12 (24)2.6 GHz3.3/3.7GHz12 × 1 MB19.25 MB
125 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405900
$1776
Xeon Gold 6126F
  • SR3KE (H0)
12 (24)2.6 GHz3.3/3.7GHz12 × 1 MB19.25 MB
135 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593400
$1931
Xeon Gold 6126T
  • SR3J9 (H0)
12 (24)2.6 GHz3.3/3.7GHz12 × 1 MB19.25 MB
125 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303593100
$1865
Xeon Gold 5122
  • SR3AT (H0)
4 (8)3.6 GHz3.7/3.7GHz4 × 1 MB16.50 MB
105 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303330702
  • BX806735122
$1221
$1227
Xeon Gold 5120
  • SR3GD (M0)
14 (28)2.2 GHz2.6/3.2GHz14 × 1 MB19.25 MB
105 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303535900
  • BX806735120
$1555
$1561
Xeon Gold 5120T
  • SR3GC (M0)
14 (28)2.2 GHz2.6/3.2GHz14 × 1 MB19.25 MB
105 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303535700
$1727
Xeon Gold 5119T
  • SR3MN (M0)
14 (28)1.9 GHz2.3/3.2GHz14 × 1 MB19.25 MB
85 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303567703
$1555
Xeon Gold 5118
  • SR3GF (M0)
12 (24)2.3 GHz2.7/3.2GHz12 × 1 MB16.50 MB
105 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303536100
$1273
Xeon Gold 5117
  • SR37S (M0)
14 (28)2 GHz2.3/2.8GHz14 × 1 MB19.25 MB
105 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303317801
$1286
Xeon Gold 5117F
  • SR3KM (M0)
14 (28)2 GHz2.3/2.8GHz14 × 1 MB19.25 MB
113 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303680501
Xeon Gold 5115
  • SR3GB (M0)
10 (20)2.4 GHz2.8/3.2GHz10 × 1 MB13.75 MB
85 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-240011 July 2017
  • CD8067303535601
$1221

Xeon Platinum (octal processor)

  • Xeon Platinum non-F SKUs have three UPIs at 10.4 GT/s. Xeon Platinum F-SKUs have two UPIs at 10.4 GT/s.
  • Xeon Platinum supports DDR4-2666 MHz RAM.
ModelsSpec
number
Cores
(threads)
Clock rateTurbo Boost
all-core/2.0
(/max. 3.0)
L2
cache
L3
cache
TDPSocketI/O busMemoryRelease datePart
number(s)
Release
price (USD)
Xeon Platinum 8180
  • SR377 (H0)
28 (56)2.5 GHz3.2/3.8 GHz28 × 1 MB38.50 MB
205 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303314400
$10,009
Xeon Platinum 8180M
  • SR37T (H0)
28 (56)2.5 GHz3.2/3.8 GHz28 × 1 MB38.50 MB
205 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303192101
$13,011
Xeon Platinum 8176
  • SR37A (H0)
28 (56)2.1 GHz2.8/3.8 GHz28 × 1 MB38.50 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303314700
$8790
Xeon Platinum 8176F
  • SR3MK (H0)
28 (56)2.1 GHz2.8/3.8 GHz28 × 1 MB38.50 MB
173 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-2666Q3, 2017
  • CD8067303694600
$8874
Xeon Platinum 8176M
  • SR37U (H0)
28 (56)2.1 GHz2.8/3.8 GHz28 × 1 MB38.50 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303133605
$11,722
Xeon Platinum 8173M
  • SR37Q (H0)
28 (56)2 GHz2.7/3.5 GHz28 × 1 MB38.50 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-26662017
  • CD8067303172400
Xeon Platinum 8170
  • SR37H (H0)
26 (52)2.1 GHz2.8/3.7 GHz26 × 1 MB35.75 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303327601
  • BX806738170
$7405
$7411
Xeon Platinum 8170M
  • SR3BD (H0)
26 (52)2.1 GHz2.8/3.7 GHz26 × 1 MB35.75 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303319201
$10,409
Xeon Platinum 8168
  • SR37J (H0)
24 (48)2.7 GHz3.4/3.7 GHz24 × 1 MB33.00 MB
205 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303327701
$5890
Xeon Platinum 8167M
  • SR3A0 (H0)
26 (52)2 GHz2.4/2.4 GHz26 × 1 MB35.75 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-26662017
  • CD8067303180701
Xeon Platinum 8164
  • SR3BB (H0)
26 (52)2 GHz2.7/3.7 GHz26 × 1 MB35.75 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303408800
  • BX806738164
$6114
$6120
Xeon Platinum 8163
  • SR3G1 (H0)
24 (48)2.4 GHz2.7/3.1 GHz24 × 1 MB33.00 MB
165 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-26662017
  • CD8067303527200
Xeon Platinum 8160
  • SR3B0 (H0)
24 (48)2.1 GHz2.8/3.7 GHz24 × 1 MB33.00 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303405600
  • BX806738160
$4702
$4708
Xeon Platinum 8160F
  • SR3B8 (H0)
24 (48)2.1 GHz2.8/3.7 GHz24 × 1 MB33.00 MB
160 W
LGA 36472 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303406600
$4856
Xeon Platinum 8160M
  • SR3B8 (H0)
24 (48)2.1 GHz2.8/3.7 GHz24 × 1 MB33.00 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303406600
$7704
Xeon Platinum 8160T
  • SR3J6 (H0)
24 (48)2.1 GHz2.8/3.7 GHz24 × 1 MB33.00 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303592800
$4936
Xeon Platinum 8158
  • SR3B7 (H0)
12 (24)3 GHz2.7/3.7 GHz12 × 1 MB24.75 MB
150 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303406500
$7007
Xeon Platinum 8156
  • SR3AV (H0)
4 (8)3.6 GHz3.3/3.7 GHz4 × 1 MB16.50 MB
105 W
LGA 36473 × 10.4 GT/s UPI6 × DDR4-266611 July 2017
  • CD8067303368800
$7007
Xeon Platinum 8153
  • SR3BA (H0)
16 (32)2 GHz2.3/2.8 GHz16 × 1 MB22.00 MB
125 W
LGA 36473 × 10.4 GT/s QPI6 × DDR4-266611 July 2017
  • CD8067303408900
$3115

See also

References